@conference {GupJon09B, title = {Hotspot Mitigation using Dynamic Partial Reconfiguration for Improved Performance}, booktitle = {Proceedings of the International Conference on Reconfigurable Computing and FPGAs (Reconfig)}, year = {2009}, month = {December}, abstract = {As the chips get denser and faster, heat dissipation is fast turning into a major problem in development of ICs. Nonuniform heating of chips due to hotspots is also an area of concern and much research. In this paper, we propose an adaptive method which takes advantage of the self-reconfiguration capability of modern FPGAs to mitigate hotspots. We adapt the floor plan of the IC in response to the current use and ambient conditions on the fly. It is most applicable to paradigms such as Network on Chip (NoC) that allow separation of communication and computation and allow communication between modules to be abstracted away. We achieve a reduction of up to 8{\textopenbullet} C in the maximum temperature of a hotspot using typical power numbers. Alternatively, by increasing the frequency, we achieve a 2-3 times increase in throughput while maintaining the same maximum temperature.}, author = {Adwait Gupte and Phillip Jones} }